目录
目录 ............................................................................................................................................................................................. I 摘要 ............................................................................................................................................................................................. I Abstract ....................................................................................................................................................................................... II 1、 绪论...................................................................................................................................................................................... 1
1.1研究背景 ..................................................................................................................................................................... 1 1.2 目的和意义 ................................................................................................................................................................... 1 2、 ASK的调制和解调方案设计 ............................................................................................................................................. 2
2.1 乘法器实现法 ............................................................................................................................................................... 2 2.2键控法 ............................................................................................................................................................................ 2 2.3数字电路实现键控产生ASK信号的实例 .................................................................................................................. 3 3、 ASK的解调方案 ................................................................................................................................................................. 4
3.1同步解调 ........................................................................................................................................................................ 4 3.2包络解调 ........................................................................................................................................................................ 5 4. ASK调制VHDL程序及仿真 ............................................................................................................................................. 7
4.1 ASK调制方框图 ........................................................................................................................................................ 7 4.2 ASK调制电路符号 .................................................................................................................................................... 8 4.3ASK调制VHDL程序 ................................................................................................................................................... 8 4.4ASK调制VHDL程序仿真图及注释 ......................................................................................................................... 11 5、ASK解调VHDL程序及仿真 ............................................................................................................................................ 11
5.1ASK程序解调仿真图及注释 ...................................................................................................................................... 14 6、总结...................................................................................................................................................................................... 15 7、 参考文献 ............................................................................................................................................................................ 16
武汉理工大学FPGA应用设计报告
摘要
1934年美国学者李佛西提出脉冲编码调制(PCM)的概念,从此之后通信数字化的时代应该说已经开始了,但是数字通信的高速发展却是20世纪70年代以后才开始的。随着时代的发展,用户不再满足于听到声音,而且还要看到图像;通信终端也不局限于单一的电话机,而且还有传真机和计算机等数据终端。现有的传输媒介电缆、微波中继和卫星通信等将更多地采用数字传输。在数字传输系统中,数字信号对高频载波进行调制,变成频带信号,在接收端进行解调,恢复原数字信号对载波的控制分为振幅调制即振幅键控(ASK),频率调制即频率键控(FSK)和相位调制即相位键控(PSK)。现场可编程门阵列(FPGA)在通信领域得到了广泛的应用,利用FPGA性能优越、使用方便的特点,可以简化振幅调制解调电路的设计,而且易于反复编写和修改程序。文章介绍了 运用VHDL 语言进行基于FPGA 的振幅键控调制电路和解调电路设计的实现方案, 给出了程序设计和仿真结果, 完成了二进制基带数字信号的调制和解调, 得到了相应的调制信号和解调信号。
关键词: FPGA 2ASK 调制解调 VHDL
I
武汉理工大学FPGA应用设计报告
Abstract
Communication digital era had began since American researcher Reeves put forward the concept of pulse code modulation (PCM) in 1934 and gained a rapid development after the 1970s. With the economic development, sound can't meet the demand of consumers and they also want to see the images. What's more, communication terminal doesn't only include the single telephone set but also the data terminal such as electro-graph and computer. Current transmission medium such as cable, microwave repeater and satellite communication will prefer to use digital transmission. In digital transmission system, the digital signal are used to modulate the high frequency carrier wave to the frequency signal, which transfers through the channel, are renewed at the receiver. Three ways to modulate the carrier wave are as follows: Amplitude Shift Keying(ASK), Frequency-Shift Keying(FSK) and Phase Shift Keying(PSK).Field-Programmable Gate Array(FPGA) is applied universally in the communication field. With the superior performance and utilization convenience of FPGA, the design of circuit in the Amplitude modulation and demodulation can be simplified and it's easy for us to compile and modify the programme. This thesis introduces the main realization method of designing Amplitude Shift Keying modulation and demodulation circuit based on FPGA in VHDL ,illustrates the programme design and simulation result, implement the modulation and demodulation of binary baseband digital signal and finally finds out the corresponding modulation signal and demodulation signal.
Key words:FPGA Amplitude shift keying Modem VHDL
II
武汉理工大学FPGA应用设计报告
1、 绪论
1.1研究背景
1934年美国学者李佛西提出脉冲编码调制(PCM)的概念,从此之后通信数字化的时代应该说已经开始了,但是数字通信的高速发展却是20世纪70年代以后才开始的。随着时代的发展,用户不再满足于听到声音,而且还要看到图像;通信终端也不局限于单一的电话机,而且还有传真机和计算机等数据终端。现有的传输媒介电缆、微波中继和卫星通信等将更多地采用数字传输。数字信号的载波调制是信道编码的一部分,之所以在信源编码和传输通道之间插入信道编码是因为通道及相应的设备对所要传输的数字信号有一定的限制,未经处理的数字信号源不能适应这些限制。由于传输信道的频带资源总是有限的,因此在充分得利用现有资源的前提下,提高传输效率就是通信系统所追求的最重要指标之一。模拟通信很难控制传输效率,最常见到的单边带调幅(SSB)或残留边带调幅(VSB)可以节省近一半的传输频带。由于数字信号只有“0”和“1”两种状态,所以数字调制完全可以理解为像报务员用开关键控制载波的过程,因此数字信号的调制方式一般均为较简单的键控方式。现代通信系统的发展随着VHDL等设计语言的出现和ASIC的应用进入了一个新的阶段。由于大多数信号都是带通型的,所以必须先用数字基带信号对载波进行调节,形成数字调制信号再进行传输。因而,调制技术是实现现代通信的重要手段。本文在研究ASK系统的基础上,基于FPGA设计了它的调制解调仿真实现方案。首先确定了ASK系统的仿真方案。其次编写了方案所需的程序,调制及解调实现功能。结果表明用FPGA控制ASK系统的实现方法简单,误码率低。提高了数字通信系统的效率,降低了成本。
1.2 目的和意义
这个课题是基于FGPA设计并制作一个ASK调制解调器,实现数字信号对载波的调制
1
武汉理工大学FPGA应用设计报告
和解调。通过这个课题理解掌握课题涉及的相关内容,熟练使用相关开发工具软件Quartus II,熟悉数字信号载波调制解调的基本方式。
现代通信系统是一个十分复杂的工程系统,通信系统设计研究也是一项十分复杂的技术。由于技术的复杂性,在现代通信技术中,越来越重视采用计算机仿真技术来进行系统分析和设计。随着电子信息技术的发展,已经从仿真研究和设计辅助工具,发展成为今天的软件无线电技术,这就使通信系统的仿真研究具有更重要和更实用的意义。而其中数字信号的调制和解调已成为重中之重。
2、 ASK的调制和解调方案设计
2.1 乘法器实现法
ASK有两种实现方法:乘法器实现法和键控法。乘法器实现法的输入是随机信息序列,经过基带信号形成器,产生波形序列,乘法器用来进行频谱搬移,相乘后的信号通过带通滤波器滤除高频谐波和低频干扰。带通滤波器的输出是振幅键控信号。乘法器常采用环形调制器。
2.2键控法
线性调制的一般模型是通过一个乘法器将调制信号与正弦波信号相乘,然后对 其输出进行适当的线性滤波得到,只要将载波信号与被调制信号相乘,即可实现双 边带调制。由于实际乘法器的非线性作用,输出信号含有高次谐波分量,为了对上、下边频外的信号进行清除,我们加入滤波器,因此双边带调制信号的实际调制采用 由乘法器和滤波器组成平衡调制器。
2
武汉理工大学FPGA应用设计报告
平衡调制器可用模拟乘法器实现,一般采用最理想的开关乘法器实现平衡调制。 即用方波信号控制一个模拟双向开关,对调制信号周期性地切换于差动放大器的两 输入端,进行同相和反相放大,从而产生调制。调制前信号是一正弦波,通过与方 波信号相乘,即交替地乘上(+1)和(-1)可得调制输出信号。调制输出信号含有 谐波分量。为消除谐波信号,在开关乘法器的滤波器中,我们在中心频率处适当地 选取了有一定带宽的带通滤波器,可见谐波信号大大减弱。 键控法是产生 ASK 信号的另一种方法。二元制 ASK 又称为通断控制(OOK) 。最典型的实现方法是用一个电键来控制载波振荡器的输出而获得。
uASK(t)
(键控法产生ASK信号原理框图 )
2.3数字电路实现键控产生ASK信号的实例
键控电路
3
武汉理工大学FPGA应用设计报告
为适应自动发送高速数据的要求,键控法中的电键可以利用各种形式的受基带信号 控制的电子开关来实现,代替电键产生ASK信号,上图所示就是以数字电路实现键控产生ASK信号的实例。该电路是用基带信号控制与非门的开闭,实现ASK调制,产生ASK信号。乘法器法相对于OOK而言,实现复杂,本设计采用键控法调制。
3、 ASK的解调方案
ASK 信号解调的常用方法主要有两种:包络检波法和相干检测法。
3.1同步解调
同步解调也称相干解调, 信号经过带通滤波器抑制来自信道的带外干扰,乘
法器进行频谱反向搬移,以恢复基带信号。低通滤波器用来抑制相乘器产生的高次谐波干扰。
(1)发“1”码时情况
发“1”码时,输入的ASK信号为,它能顺利地通过带通滤波器。 n(t)
表示。经过
为零均值的高斯白噪声,经过带通滤波器后变为窄带高斯噪声,用 低通滤波器后,输出信号为x(t),也就是取样判决器的输入信号。
(2)发“0”码时情况
4
武汉理工大学FPGA应用设计报告
发“0”码时,ASK信号输入为0,噪声仍然存在,经过低通滤波器后,输出信号为x(t),
x(t)也是取样判决器的输入信号。
综合上面的分析,可得
下面讨论判决问题。
若没有噪声,上式简化为
此时判决电平取0~A的中间值A/2,大于A/2判为“1”码,小于A/2判为“0”码。在无噪声时,判决一定是正确的。
3.2包络解调
5
武汉理工大学FPGA应用设计报告
包络解调是一种非相干解调
(ASK包络解调方框图)
发“1”码时的情况
包络检波器的输入为,为信号加窄带高斯噪声,输出为信号加窄带高斯噪声的包络,它服从莱斯分布,如图所示。
其概率密度为
6
武汉理工大学FPGA应用设计报告
发“0”码时的情况
包络检波器输入为n1(t),输出n1(t)则为的包络,即噪声的包络,它服从瑞利分布,如上页图所示。其概率密度为
与同步解调相似,为使误码率最小,判决电平应 坐标值,如 图中
和
的交点的横
,称为最佳门限,经分析,得到
当信噪比r>>1(即大信噪比)时,
4. ASK调制VHDL程序及仿真
4.1 ASK调制方框图
7
武汉理工大学FPGA应用设计报告
注:图中没有包含模拟电路部分,输出信号为数字信号。
4.2 ASK调制电路符号
4.3ASK调制VHDL程序
--文件名:ASK.vhd
--功能:基于VHDL硬件描述语言,对基带信号进行ASK振幅调制
--最后修改日期:2014.6.16
library ieee;
8
武汉理工大学FPGA应用设计报告
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity ASK is
port(clk :in std_logic; start:in std_logic; x :in std_logic; y :out std_logic); end ASK;
architecture behav of ASK is
signal q:integer range 0 to 3; signal f :std_logic; begin
--系统时钟
--开始调制信号
--基带信号
--调制信号
--分频计数器
--载波信号
9
武汉理工大学FPGA应用设计报告
process(clk)
begin
if clk'event and clk='1' then
if start='0' then q<=0;
elsif q<=1 then f<='1';q<=q+1;
--改变q后面数字的大小,就可以改变载波信号的占空比
elsif q=3 then f<='0';q<=0;
--改变q后面数字的大小,就可以改变载波信号的频率
else f<='0';q<=q+1;
end if;
end if;
end process;
y<=x and f; --对基带码进行调制
10
武汉理工大学FPGA应用设计报告
end behav;
4.4ASK调制VHDL程序仿真图及注释
注:a.基带码长等于载波f的6个周期。
b. 输出的调制信号y滞后于输入基带信号x一个clk时间。
5、ASK解调VHDL程序及仿真
--文件名:ASK2
--功能:基于VHDL硬件描述语言,对ASK调制信号进行解调
--最后修改日期:2014.6.16
11
武汉理工大学FPGA应用设计报告
library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity ASK2 is
port(clk :in std_logic; start :in std_logic; x :in std_logic; y :out std_logic); end ASK2;
architecture behav of ASK2 is
signal q:integer range 0 to 11; signal xx:std_logic; --系统时钟
--同步信号
--调制信号
--基带信号
计数器
--寄存x信号12
--
武汉理工大学FPGA应用设计报告
signal m:integer range 0 to 5; --计xx的脉冲数
begin
process(clk) --对系统时钟进行q分频,
begin
if clk'event and clk='1' then xx<=x; --clk上升沿时,把x信号赋给中间信号xx
if start='0' then q<=0; --if语句完成q的循环计数
elsif q=11 then q<=0;
else q<=q+1;
end if;
end if;
end process;
process(xx,q) --此进程完成ASK解调
begin
13
武汉理工大学FPGA应用设计报告
if q=11 then m<=0; --m计数器清零
elsif q=10 then
if m<=3 then y<='0'; --if语句通过对m大小,来判决y输出的电平
else y<='1';
end if;
elsif xx'event and xx='1'then m<=m+1; --计xx信号的脉冲个数
end if;
end process;
end behav;
5.1ASK程序解调仿真图及注释
(ASK解调仿真全图 )
14
武汉理工大学FPGA应用设计报告
(ASK解调仿真局部放大图 )
注: a.在q=11时,m清零。
b.在q=10时,根据m的大小,对输出基带信号y的电平的进行判决。
c.在q为其它时,m计xx(x信号的寄存器)的脉冲数。
d. 输出的基带信号y滞后输入的调制信号x 10个clk。
6、总结
经过 Quatus II 软件测试下载的程序后,FPGA 将二进制数字基带信号成功进行振幅键控调制和解调。振幅键控调制和解调在通信电路中广泛使用,应用 FPGA 完成振幅键控调制解调,具有通用性和实用性,用VHDL程序完成电路的软件设计。
在整个过程中我学到了新知识,增长了见识。在今后的日子里,我仍然要不断地充实自己,争取在所学领域有所作为。脚踏实地,认真严谨,实事求是的学习态度,不怕困难、坚持不懈、吃苦耐劳的精神是我在这次设计中最大的收益。我想这是一次意志的磨练,是
15
武汉理工大学FPGA应用设计报告
对我实际能力的一次提升,也会对我未来的学习和工作有很大的帮助。
7、参考文献
[1]樊昌信.通信原理教程(第六版).北京:国防工业出版社,2012
[2]潘松.EDA 技术实用教程—Verilog HDL 版(第四版).北京:科学出版社,2010
[3]王振红. FPGA 开发与应用.北京:清华大学出版社,2010
[4]林灶生,刘绍汉. Verilog FPGA 芯片设计.北京:北京航空航天大学出版社,2006
[5](美)K.科夫曼著,沈树群,张艳,吴京松译.基于Verilog 语言的实用FPGA 设计.北京:科学出版社,2004
16
因篇幅问题不能全部显示,请点此查看更多更全内容